Probleme en vhdl

Fermé
keltos89 Messages postés 1 Date d'inscription mercredi 18 janvier 2006 Statut Membre Dernière intervention 19 janvier 2006 - 19 janv. 2006 à 19:45
moncef12 Messages postés 1 Date d'inscription lundi 27 juin 2011 Statut Membre Dernière intervention 27 juin 2011 - 27 juin 2011 à 12:26
bonsoir,
je dois faire un "petit" programme en vhdl mais ayant seulement tres peu de connaissances dans ce languange je n'y arrive pas.
le probleme consiste a effectuer ceci:
s1:signal
s2:signal
m1=s1+s2
m2=s1+0.8s2

y1= -0.8s1+s2
y2= s1-s2

j'en ai super besoin donc svp ceux qui connaissen s'ils pouvaient me donner un coup de main...

je vous remercie d'avance

9 réponses

Salam alikoum, je suis entrain de faire une implémentation des filtr d'image sur FPGA et j'ai un problème avec la lecture et l'ecriture d'un fichier en VHDL
6
moncef12 Messages postés 1 Date d'inscription lundi 27 juin 2011 Statut Membre Dernière intervention 27 juin 2011
27 juin 2011 à 12:26
Bonjour, j'ai lu votre message, et je suis en train de faire une implémentation des algorithmes de TS sur FPGA. j'ai besoin de votre aide, bien-sure si possible.
je vous donne mon émail et j'aurai le plaisir si vous me contactez. mon émail : benrhouma.moncef@gmail.com.
Merci.
0
raclette1984 Messages postés 6 Date d'inscription lundi 18 février 2008 Statut Membre Dernière intervention 24 juin 2008 4
18 févr. 2008 à 19:21
Bonjour,

J'ai réalisé un petit programme dont le but est de détécter la valeur max ainsi que la durée de mon impulsion. Maintenant je voudrais lire dans mon vhdl un fichier.txt issu d'un oscilloscope numérique et qui contient les amplitudes de différentes impulsions.
Comment pourrais-je l'intégrer dans mon programme ?
4
ces signaux d quel type in ou output integr or std_logic?
sinnon
voila un pti essai

m1<= s1 + s2;
m2 <=s1 + 0.8s2;
sil son d forme integer
par contre sil son bouleean
m1 <= s1 and s2;
3
bonjour;
comment puis -je déclarer une entrée variable , qui peut prendre pluseurs longueurs de bits dans l'architecture
EXEMPLE:
AR doit prendre à chaque fois 1 ou 2 ou 3 ou 4 bits.
aidez- moi SVP!!!!
3

Vous n’avez pas trouvé la réponse que vous recherchez ?

Posez votre question
Les signaux de taille "variables" peuvent être obtenus en utilisant un parametre generique (mot clé 'generic').
Il s'agit d'un paramètre auquel on donne une valeur par défaut mais qui peut-être redéfini au moment de l'instantiation du composant.
voir par exemple ici :
http://www.librecours.org/documents/11/1106.pdf
page 31-32.

Cela permet d'avoir des versions différentes (correspondant par exemple à différents nombre de bits) d'un circuit correspondant à la même fonction sans avoir à l'écrire pour toutes les tailles possibles, mais le nombre de bits n'est pas modifiable dans l'architecture. Pour chaque instance du circuit le paramètre est une constante.
3
J'ai pas vraiment compris le type que ta variable ou signal :S,

1) si c'est un vecteur à 4 positions c simple :

SIGNAL AR BIT_VECTOR (3 Downto 0);

2) Si c'est une variable qui peut prendre 4 valeur, tu peu declarer un sous type interval ( la declaration de type ou de sous type se fait dans un paquetage )

Subtype UN_A_Quatre is NATURAL range (1 to 4 ) ; -- d'après ton exemple

-- après dans le processus ou tu utilise ta variable tu la declarre comme d'hab c a dire :

VARIABLE AR : UN_A_Quatre ;



-- Explique mieu ton exemple
2
j'ai besoin d'un programme qui réalise la commande d'un moteur pas à pas..

j'en ai absolument besoin de ce programme sous vhdl bien sure....
2
Bonjour,

je dois aussi réaliser un montage pas à pas en vhdl,
Je voudrais donc savoir si vous aviez trouvé réponse à votre requête et s'il serait possible que vous m'aidiez dans ce domaine car mes connaissances y sont très faibles.

Merci d'avance
Cordialement, Rayze77
-1
j'ai un probleme dans le vhdl:comment j'ai representer 3 additionneur en utilisant l'additioneur complet
et merci
2
bonjour
JE SUIS entrain de realiser le programme d'un séquenseur en vhdl et jaimlerai savoir comment faire pour faire un programme dans une mémoire microprogrammé aini comment faire des impulsions dans mon programme vhdl
je vous remerci davanceee
2